作者Peymandoust, Armita
Stanford University
書名Symbolic algorithms for embedded system design [electronic resource]
說明108 p
附註Source: Dissertation Abstracts International, Volume: 64-05, Section: B, page: 2326
Adviser: Giovanni De Micheli
Thesis (Ph.D.)--Stanford University, 2003
The growing market of digital signal processing and multi-media applications requires development of complex embedded systems with significant data-path portions. However, current hardware synthesis and software optimizations tools and methodologies do not support arithmetic-level optimizations necessary for data intensive applications. In particular, most high-level synthesis tools cannot automatically synthesize data paths such that complex arithmetic library blocks are intelligently used. Thus, the data paths of such circuits are often manually designed and mapped to pre-optimized library elements. Similarly, current compilers and software optimization methods are frequently incapable of optimizations required by software designers. Namely, most high-level arithmetic optimizations and use of complex instructions and pre-optimized embedded library functions are left to the designers' ingenuity. Furthermore, a growing number of embedded systems are using application-specific embedded processors. The design of these processors requires manual specialization of processors based on an application. The new complex instructions added to the application specific processor are used by manual insertion of intrinsic functions in the computation intensive sections of the code
In this thesis, symbolic polynomial manipulation techniques are used to develop algorithms, tools, and methodologies that cover all aspects of embedded systems design including hardware, software, and processor design. High-level data-path synthesis, embedded-software optimization, and application specific embedded processor design are automated by using algorithms from symbolic computer algebra that enable high-level arithmetic optimizations. Results of the high-level data-path synthesis tool show significant improvements in delay and area of the synthesized circuits compared to standalone commercial high-level synthesis tools. The algorithmic-level description of a set of examples is optimized for a portable embedded system using the software optimization methodology. In addition to improving productivity, the number of instructions and memory accesses are lowered and thus the system power consumption is reduced. Finally, new instructions are automatically added to configurable processors to reduce execution time and efficiently specialize embedded processors for a given set of applications
School code: 0212
主題Engineering, Electronics and Electrical
Computer Science
0544
0984
QRCode
相關連結: 連線到 http://pqdd.sinica.edu.tw/twdaoapp/servlet/advanced?query=3090659 (網址狀態查詢中....)
館藏地 索書號 條碼 處理狀態  

Go to Top